Search found 401 matches

by kitune-san
Thu Mar 09, 2023 2:09 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: POST Error 101 on CTRL-ALT-DEL
Replies: 5
Views: 3810

Re: POST Error 101 on CTRL-ALT-DEL

This issue seems to occur when a soft reset performs a reset operation on an interrupt or timer module.
Perhaps the soft reset implementation of the chip(8259 or 8253) is inappropriate.
I will investigate this when I have time.

by kitune-san
Thu Mar 09, 2023 10:59 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)
Replies: 125
Views: 34118

Re: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)

My SDRAM(KFSDRAM) module was not intended for use as video RAM or dual port RAM.
It really only provides simple functions. Burst mode and multi-bank are not supported.
Perhaps it would be better to create a new RAM module.
Or, if there is an existing high-speed SDRAM module, it is better to use it.

by kitune-san
Thu Mar 09, 2023 10:55 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)
Replies: 125
Views: 34118

Re: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)

About the keyboard: The XT keyboard returns 0xAA when it receives a reset signal. When the MiSTer core receives the reset pulse from the CPU, it sends 0xFF to the PS/2 keyboard to receive (0xFA)0xAA. I thought this would be useful for detecting keyboard not connected, but it actually seems to be an annoying feature. I think changing the keyboard m...
by kitune-san
Thu Mar 09, 2023 3:13 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)
Replies: 125
Views: 34118

Re: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)

About the keyboard: The XT keyboard returns 0xAA when it receives a reset signal. When the MiSTer core receives the reset pulse from the CPU, it sends 0xFF to the PS/2 keyboard to receive (0xFA)0xAA. I thought this would be useful for detecting keyboard not connected, but it actually seems to be an annoying feature. I think changing the keyboard mo...
by kitune-san
Tue Mar 07, 2023 10:10 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)
Replies: 125
Views: 34118

Re: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)

MiSTerPCXT used a specific table for geometry calculation(storage CHS).
However OS seems to have already booted, so it may not be related to this issue.

https://github.com/MiSTer-devel/Main_Mi ... p#L54-L198

by kitune-san
Mon Mar 06, 2023 11:49 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)
Replies: 125
Views: 34118

Re: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)

Thanks.

To confirm that the BIOS is really writing H=2, could you please try the following conditions to see if it triggers

cpu_address=306h
data_bus=02h or X2h
io_write_n=0h
ide_cs1_fx=0h

The fix suggested by slingshot2 in the atari forum may solve the problem

by kitune-san
Mon Mar 06, 2023 11:08 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)
Replies: 125
Views: 34118

Re: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)

It really does have H=2...
we need to look into what is writing this.(bios or mist system)

by kitune-san
Mon Mar 06, 2023 10:12 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)
Replies: 125
Views: 34118

Re: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)

RAW image; I don't think it starts with H=2. As confirmed by Mister before, you can see that CHS=0/0/1 is read out as the boot sector. Looking at the somhi waveform, I see that the core is writing 0xA0 to 0x306. This means that core is writing H=0. However, it may have changed just before the write command, so if you like, take the trigger under th...
by kitune-san
Mon Mar 06, 2023 2:46 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)
Replies: 125
Views: 34118

Re: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)

Thank you! One correction. "(* noprune *)" before reg. (* noprune *) reg [7:0] sector_number; (* noprune *) reg [7:0] sector; (* noprune *) reg [15:0] cylinder; (* noprune *) reg [7:0] dev_head; always @(posedge clk) begin sector_number <= tfr[2]; sector <= tfr[3]; cylinder <= {tfr[5], tfr[4]}; dev_head <= tfr[6]; end
by kitune-san
Mon Mar 06, 2023 2:21 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)
Replies: 125
Views: 34118

Re: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)

Well, I am not the author of universalbios, so I can't say for sure, but I believe that if the BIOS is working right, it will read the boot sector first. I suspect that the correct values are not being written to each register (drive, sector number, sector count, cylinder). I am going to add each register to Signaltap. Apparently the register file...
by kitune-san
Mon Mar 06, 2023 1:55 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)
Replies: 125
Views: 34118

Re: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)

In Mister, the boot sector readings(Read sector command (C4)) are as follows:

First_read.png
First_read.png (57.06 KiB) Viewed 3473 times

C = cylinder[15:0]=0
H = drv_addr[4:0]=0
S = sector[7:0]=1
As expected, CHS=0/0/1.

by kitune-san
Mon Mar 06, 2023 1:49 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)
Replies: 125
Views: 34118

Re: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)

I am now trying to get waveforms under the same conditions with MiSTer, but it may be difficult to get waveforms with MIST where the registers are not visible.

by kitune-san
Mon Mar 06, 2023 12:25 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)
Replies: 125
Views: 34118

Re: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)

Well, I am not the author of universalbios, so I can't say for sure, but I believe that if the BIOS is working right, it will read the boot sector first. I suspect that the correct values are not being written to each register (drive, sector number, sector count, cylinder). I am going to add each register to Signaltap. Apparently the register file...
by kitune-san
Mon Mar 06, 2023 10:54 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)
Replies: 125
Views: 34118

Re: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)

Well, I am not the author of universalbios, so I can't say for sure, but I believe that if the BIOS is working right, it will read the boot sector first.
I suspect that the correct values are not being written to each register (drive, sector number, sector count, cylinder).
I am going to add each register to Signaltap.

by kitune-san
Mon Mar 06, 2023 10:47 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)
Replies: 125
Views: 34118

Re: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)

somhi wrote: Mon Mar 06, 2023 9:02 am

Don't know why you can't see the image. Try open image in a new tab. The image shows the trigger at the conditions you said so that is working.
have a look at https://atari-forum.com/viewtopic.php?p=444047#p444047 if you can see images also

I don't know why. It looks to me as follows. Do I need to register as a user?

2023-03-06 194451.png
2023-03-06 194451.png (90.79 KiB) Viewed 3563 times
by kitune-san
Mon Mar 06, 2023 6:51 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27289

Re: PCXT Core Access to Secondary SD Card

Lately I have not been improving my MMC module.
I will restart that soon. :)

by kitune-san
Mon Mar 06, 2023 2:17 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27289

Re: PCXT Core Access to Secondary SD Card

I'm not familiar with ISE, so I could be wrong...

it probably affects both.
The reason the result is "All constrains met" before my constraints are added is because it is unconstrained.
Since they are unconstrained, they can be freely placed and are not analyzed.

by kitune-san
Mon Mar 06, 2023 12:01 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)
Replies: 125
Views: 34118

Re: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)

I can't see the image for some reason. (Permissions?
I think bios reads the first sector first.
We need to make sure the correct values are written to each register(drive, sector number, sector count, cylinder).

by kitune-san
Sat Mar 04, 2023 2:32 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27289

Re: PCXT Core Access to Secondary SD Card

I am not so sure about ISE as this is the first time I am using it. ...Apparently, since the input clock was not constrained, the DCM clock using it was also not constrained. Removed comment on input clock constraints. I have also added TIG constraints between cross-clock domains. https://github.com/kitune-san/PCXT_ZXUno/tree/unoxt_unoxt2_vram2bram...
by kitune-san
Sat Mar 04, 2023 1:36 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27289

Re: PCXT Core Access to Secondary SD Card

I installed ISE, but I don't know how to do timing analysis...

by kitune-san
Sat Mar 04, 2023 5:54 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27289

Re: PCXT Core Access to Secondary SD Card

oh. I've been using SystemVerilog so much that I've forgotten the Verirlog specs... :roll:
The previous commit is revert.

by kitune-san
Sat Mar 04, 2023 4:18 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)
Replies: 125
Views: 34118

Re: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)

Are you using the same XTIDEBIOS as MiSTer?
BIOS ROMs created in the early stages of MiSTerPCXT development may not work properly because the settings do not match.

by kitune-san
Sat Mar 04, 2023 3:56 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)
Replies: 125
Views: 34118

Re: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)

Reading the status register seems to be working well. 0x50 means that the READY flag is up. The XTIDE BIOS uses the Base (cmd block) address as 0x300. This means that the command register is 0x307. https://www.xtideuniversalbios.org/#MenuitemsonxxxIDEControllersubmenus If you want to check if the command is actually written, you should trigger on t...
by kitune-san
Fri Mar 03, 2023 2:52 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27289

Re: PCXT Core Access to Secondary SD Card

I fixed the wrong declaration of signals that occurred during conversion.
Please give it a try when you have time.

https://github.com/kitune-san/PCXT_ZXUn ... ab74e5b6bc

by kitune-san
Fri Mar 03, 2023 1:50 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27289

Re: PCXT Core Access to Secondary SD Card

When I used ZXUno files in MiSTer, the MMC module behaved strangely.
It appears that there are some incorrect conversions of reg and wire.
This will be corrected manually, so it will take some time.
Also, there was one undeclared signal that I will fix as well.

2023-03-03 224904.png
2023-03-03 224904.png (55.21 KiB) Viewed 5047 times
by kitune-san
Fri Mar 03, 2023 12:31 pm
Forum: IBM PC, PCXT, Tandy 1000
Topic: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)
Replies: 125
Views: 34118

Re: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)

Some fixes: https://github.com/kitune-san/PCXT_DeMiSTify/commit/63b781bb4c403696f147b40a890bc03082163256 Enable primary channel master Disable secondary .hdd0_ena (2'b11), // enables Master & Slave drives on primary channel .hdd1_ena (2'b00), // enables Master & Slave drives on secondary channel Add read pulse delay always_ff @(posedge cloc...
by kitune-san
Fri Mar 03, 2023 12:15 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27289

Re: PCXT Core Access to Secondary SD Card

There may be a timing-related problem inside the FPGA, or there may be a problem with the verilog conversion.

First, I will replace the mister's mmc module with the one used in zxuno.
I will try this when I have time.

by kitune-san
Fri Mar 03, 2023 12:09 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)
Replies: 125
Views: 34118

Re: Extend PCXT Development to Improve MiSTer Core (DeMiSTify Ports)

I see that the core is not reading the status register.
The read pulses need to be corrected and the IDE module needs to be scrutinized.

by kitune-san
Thu Mar 02, 2023 9:51 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27289

Re: PCXT Core Access to Secondary SD Card

If you have time,
Could you connect the control_state signal of KFMMC_Controller.sv to the test port?
By looking at this signal, you may be able to tell which process is failing.

by kitune-san
Thu Mar 02, 2023 12:23 am
Forum: IBM PC, PCXT, Tandy 1000
Topic: PCXT Core Access to Secondary SD Card
Replies: 105
Views: 27289

Re: PCXT Core Access to Secondary SD Card

If this pin is floating, power may not be supplied to the card.