Implementation of a SPI to I2C "Bridge" in VHDL

For topics which do not fit in other specific forums.
Three
Posts: 1
Joined: Sun May 02, 2021 3:28 pm

Implementation of a SPI to I2C "Bridge" in VHDL

Unread post by Three »

Hi everyone,

I am currently working on a project involving an FPGA Cyclone 10 Low Power, a Raspberry Pi 4 and MIPI Cameras. The goal is to take images and store data from those cameras in the FPGA. In order to do this, we use an SPI protocol to communicate between the Raspberry Pi and the FPGA. The RPI 4 will be the master and the FPGA will be the slave.

I have already written a code in VHDL for the SPI Slave part. But I have a problem. Indeed, the I2C protocol is the only way to communicate with those cameras. So, my idea was to implement an I2C Master at the end of the chain. But before that, I think I have to implement an SPI to I2C Bridge between the SPI Slave and the I2C Master.

I would like to know if anyone can give tips about how to proceed or help me to do it.

Thank you your time

Regards
Post Reply