Raytraced Game Implemented in FPGA

For topics which do not fit in other specific forums.
suarezvictor
Posts: 1
Joined: Sat Oct 01, 2022 5:48 am

Raytraced Game Implemented in FPGA

Unread post by suarezvictor »

Hi! I think the cool people in this forum will be interested about a 3D raytraced game implemented without any CPU or GPU, just as a digital circuit. It translates C code to VHDL for the FPGA, so it can be run in a PC too, with no code changes.

Intro video is here:



The project was featured on some famous news sites including Tom's hardware.

Link to the source code and article with full details are in the linked video descriptions.

Hopefully you find it interesting! We are not aware of a 3D game that is just a logic circuit.
User avatar
LamerDeluxe
Top Contributor
Posts: 1160
Joined: Sun May 24, 2020 10:25 pm
Has thanked: 798 times
Been thanked: 257 times

Re: Raytraced Game Implemented in FPGA

Unread post by LamerDeluxe »

Really cool! The difference in power usage is insane. I can't imagine this will result in an optimal FPGA implementation, but at least it allows C++ programmers to create some fun FPGA applications.
User avatar
Newsdee
Top Contributor
Posts: 830
Joined: Mon May 25, 2020 1:07 am
Has thanked: 98 times
Been thanked: 209 times

Re: Raytraced Game Implemented in FPGA

Unread post by Newsdee »

MiSTer core when :)

Joke aside it'svery neat to see what can be done just with the FPGA, even if its just a demo.
Post Reply